网站导航网学 原创论文 原创专题 网站设计 最新系统 原创论文 论文降重 发表论文 论文发表 UI设计定制 论文答辩PPT格式排版 期刊发表 论文专题
返回网学首页
网学原创论文
最新论文 推荐专题 热门论文 论文专题
当前位置: 网学 > 设计资源 > FPGA > 正文

电子时钟VHDL程序与仿真

论文降重修改服务、格式排版等 获取论文 论文降重及排版 论文发表 相关服务

    8.20 电子时钟VHDL程序与仿真
    1. 10进制计数器设计与仿真
    (1)10进制计数器VHDL程序
    --文件名:counter10.vhd。
    --功能:10进制计数器,有进位C
    --最后修改日期:2004.3.20
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.STD_LOGIC_ARITH.ALL;
    use IEEE.STD_LOGIC_UNSIGNED.ALL;
    entity counter10 is
    Port ( clk : in std_logic;
    reset : in std_logic;
    din : in std_logic_vector(3 downto 0);
    dout : out std_logic_vector(3 downto 0);
    c:out std_logic);
    end counter10;
    architecture Behavioral of counter10 is
    signal count : std_logic_vector(3 downto 0);
    begin
    dout <= count;
    process(clk,reset,din)
    begin
    if reset='0'then
    count <= din ;
    c<='0';
    elsif rising_edge(clk) then
    if count = "1001" then
    count <= "0000";
    c<='1';
    else
    count <= count+1;
    c<='0';
    end if;
    end if;
    end process;
    end Behavioral;
    (2)10进制计数器仿真
    2. 6进制计数器设计与仿真
    (1)6进制计数器VHDL程序
    --文件名:counter6.vhd。
    --功能:6进制计数器,有进位C
    --最后修改日期:2004.3.20
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.STD_LOGIC_ARITH.ALL;
    use IEEE.STD_LOGIC_UNSIGNED.ALL;
    entity counter6 is
    Port ( clk : in std_logic;
    reset : in std_logic;
    din : in std_logic_vector(2 downto 0);
    dout : out std_logic_vector(2 downto 0);
    c:out std_logic);
    end counter6;
    architecture Behavioral of counter6 is
    signal count : std_logic_vector(2 downto 0);
    begin
    dout <= count;
    process(clk,reset,din)
    begin
    if reset= '0' then
    count <= din;
    c<='0';
    elsif rising_edge(clk) then
    if count="101" then
    count<="000";
    c<='1';
    else
    count<=count+1;
    c<='0';
    end if;
    end if;
    end process;
    end Behavioral;
    (2)6进制计数器仿真
    3. 6进制计数器设计与仿真
    (1)24进制计数器VHDL程序
    --文件名:counter24.vhd。
    --功能:24进制计数器。
    --最后修改日期:2004.3.20
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.STD_LOGIC_ARITH.ALL;
    use IEEE.STD_LOGIC_UNSIGNED.ALL;
    entity counter24 is
    Port ( clk : in std_logic;
    reset : in std_logic;
    din : in std_logic_vector(5 downto 0);
    dout : out std_logic_vector(5 downto 0));
    end counter24;
    architecture Behavioral of counter24 is
    signal count : std_logic_vector(5 downto 0);
    begin
  &nb

  • 下一篇资讯: LCD控制VHDL程序与仿真
  • 设为首页 | 加入收藏 | 网学首页 | 原创论文 | 计算机原创
    版权所有 网学网 [Myeducs.cn] 您电脑的分辨率是 像素
    Copyright 2008-2020 myeducs.Cn www.myeducs.Cn All Rights Reserved 湘ICP备09003080号 常年法律顾问:王律师