网站导航网学 原创论文 原创专题 网站设计 最新系统 原创论文 论文降重 发表论文 论文发表 UI设计定制 论文答辩PPT格式排版 期刊发表 论文专题
返回网学首页
网学原创论文
最新论文 推荐专题 热门论文 论文专题
当前位置: 网学 > 交易代码 > 课程设计 > 正文

乐曲硬件演奏电路设计

论文降重修改服务、格式排版等 获取论文 论文降重及排版 论文发表 相关服务
乐曲硬件演奏电路设计《EDA技术与VHDL》实验报告一、实验设计要求  学习利用实验6-3的数控分频器设计硬件乐曲演奏电路,即设计电子琴,硬件测试可用实验电路模式3。二、设计原理 主系统由三个模块组成,有TONETABA.VHD,NOTETABS.VHD和SPCAKERA.VHD,三、实验程序library ieee;--songer主程序use ieee.std_logic_1164.all;entity songer is    port(clk12mhz:in std_logic;         clk8hz:in std_logic;         code1:out std_logic_vector(3 downto 0);         high1:out std_logic;         spkout:out std_logic);    end;architecture one of songer is   component notetabs    port(clk:in std_logic;         toneindex:out std_logic_vector(3 downto 0));   end component;   component tonetaba    port(index:in std_logic_vector(3 downto 0);         code:out std_logic_vector(3 downto 0);         high:out std_logic;         tone:out std_logic_vector(10 downto 0));   end component;    component speakera    port(clk:in std_logic;         tone:in std_logic_vector(10 downto 0);         spks:out std_logic);   end  component;   signal tone:std_logic_vector(10 downto 0);   signal toneindex:std_logic_vector(3 downto 0);beginu1:notetabs  port map(clk=>clk8hz,toneindex=>toneindex);u2:tonetaba  port map(index=>toneindex,tone=>tone,code=>code1,high=>high1);u3:speakera  port map(clk=>clk12mhz,tone=>tone,spks=>spkout);end;library ieee;--speaker程序 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity speakera is    port( clk:in std_logic;          tone:in std_logic_vector(10 downto 0);          spks:out std_logic);end;architecture one of speakera is    signal preclk,fullspks:std_logic;begindivideclk:process(clk)    variable count4:std_logic_vector(3 downto 0);  begin    preclk<='0';    if count4=15 then preclk<='1';count4:="0000";    elsif clk'event and clk='1' then count4:=count4+1;    end if;74
 
乐曲硬件演奏电路设计四、编译及仿真结果 仿真波形:(1) 若图片无法显示请联系QQ3710167,本论文免费,转发请注明源于www.lwfree.cn(2) 五、总结在做乐曲硬件演奏电路时,先生成。Mif文件,产生data。rom。实验中因为引脚锁定刚开始无法出音乐。end process;genspks:process(preclk,tone)    variable count11:std_logic_vector(10 downto 0);  begin    if preclk'event and preclk='1' then        if count11=16#7FF# then count11:=tone;fullspks<='1';       else count11:=count11+1;fullspks<='0';end if;    end if;end process;delayspks:process(fullspks)    variable count2:std_logic;  begin    if fullspks'event and fullspks='1' then count2:=not count2;        if count2='1' then spks<='1';        else spks<='0'; end if;    end if;end process;end;
library ieee;--notetabs程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity notetabs is    port(clk:in std_logic;         toneindex:out std_logic_vector(3 downto 0));end;architecture one of notetabs iscomponent music1_romend;
library ieee;-- tonetaba程序use ieee.std_logic_1164.all;entity tonetaba is    port(index:in std_logic_vector(3 downto 0);        code:out std_logic_vector(3 downto 0);        high:out std_logic;        tone:out std_logic_vector(10 downto 0));end;architecture one of tonetaba isbeginsearch:process(index);when "1100"=>tone<="11001010110"; code<="0101";high<='0';when "1101"=>tone<="11010000100"; code<="0110";high<='0';when "1111"=>tone<="11011000000"; code<="0001";high<='0';when others=>null;end case;end process;end;
  • 上一篇资讯: 校园网网络设计
  • 下一篇资讯: 操作系统应用实验
  • 设为首页 | 加入收藏 | 网学首页 | 原创论文 | 计算机原创
    版权所有 网学网 [Myeducs.cn] 您电脑的分辨率是 像素
    Copyright 2008-2020 myeducs.Cn www.myeducs.Cn All Rights Reserved 湘ICP备09003080号 常年法律顾问:王律师